jeudi 29 septembre 2016

Is there some desing pattern or guide line to use two Finite State Machines in the same system, and both interact between them?

In my system there are external signals than affect one state machine, this implies that the other FSM change his state because of this, so the system seems to me not scalable. What would be the best solution to this?

Aucun commentaire:

Enregistrer un commentaire